CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CPLD LCD

搜索资源列表

  1. lcdexample

    0下载:
  2. cpld实现与液晶屏并口通信,VHDL 语言编程。对VHDL初学者应该有帮助的。-cpld achieve parallel with the LCD screen communications, VHDL programming. Right VHDL beginners should help.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1170
    • 提供者:黄小光
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. LCD_AV

    0下载:
  2. 这是用Verilog语言编写AV型LCD屏的驱动程序CPLD上运行并调试成功的。可用作数字到模拟LCD转换-Verilog language AV-screen LCD driver CPLD debugging and running successful. Can be used to simulate LCD digital conversion
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:913
    • 提供者:kensom
  1. LPC2100_LCD_PORT

    1下载:
  2. 本文分别以GPI0口直接连接、串并转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC2l0X与点阵图形液晶显示器的接口设计,并给出了硬件电路框图和主要程序。-paper were directly connected GPI0 mouth, and string conversion connectivity, CPLD Division linking the three methods described without external bus Phi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:138232
    • 提供者:陶建卫
  1. CPLdFPGAel

    0下载:
  2. CPLD、FPGA在EL显示模块及接口电路中的应用,cpld实现数字电路取代,fpga取代液晶显示专用控制芯片。-CPLD, FPGA in EL Display Module interface circuit and the application Digital Circuit cpld replaced fpga replace LCD exclusive control chip.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:26059
    • 提供者:苏航
  1. lcd_restored

    0下载:
  2. 这是一个用VHDL +图形法在CPLD内部搭建的液晶显示的驱动程序。液晶是ocmj5*10系列-This is a graphic with VHDL in CPLD internal structures of the LCD driver. LCD is ocmj5 Series 10 *
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-15
    • 文件大小:769284
    • 提供者:王伟晓
  1. BasedontheCPLDliquidcrystaldisplaycontrolsystemdes

    0下载:
  2. LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程功能引脚的可编程逻辑器件,不仅可 实现常规的逻辑器件功能,还可以实现复杂而独 特的时序逻辑功能。并且具有ISP (在线可编 程) [1 ] 功能,便于进行系统设计和现场对系统进 行功能修改、调试、升级。通常CPLD 芯片都有 着上万次的重写次数,即用CPLD[ 2 ] 进行硬件设 计,就像软件设计一样灵活、方便。而
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:167311
    • 提供者:hjh
  1. ATmega128

    0下载:
  2. ATmega128实验板 简要介绍: 主要芯片: CPU:ATmega128L SRAM:SR61L256BS-8 CPLD:XILINX XC95144XL SFLASH:AT45DB081B ETHERNET:CS8900A USB:PDIUSBD12 LCD:122x32 LMC62_095_M POWER:LM2596S-3.3 RS232:MAX3232 软件:RS232,SRAM,CPLD调试通过
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:9936705
    • 提供者:yhui
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. VGA

    0下载:
  2. cpld实现vga驱动的程序,用verilog语言实现。-Via verilog language,it can complete the drive of vga module.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:233152
    • 提供者:朱特
  1. 1602lcd

    0下载:
  2. LCD1602的驱动。 整机控制模块以MCS-51单片机为核心, 由液晶显示器和4位独立键盘组成。 用户通过4位独立键盘选择相应功能,并通过LCD1602显示。 单片机不仅要管理 4位独立键盘和LCD1602, 还要控制ADC0831采样幅值并串行读取8位数据, 并且给CPLD发送指令,接受CPLD分批发送的数据。-drive for lcd1602
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:850
    • 提供者:wangmin
  1. LCD_RGB_480x272

    0下载:
  2. arm裸机下驱动480*272的LCD -bare-metal arm to drive 480* 272 LCD
  3. 所属分类:Windows CE

    • 发布日期:2017-04-01
    • 文件大小:964921
    • 提供者:程飞
  1. LCD

    0下载:
  2. // //established on 2004/03/08 //primary functions are lcd display,which includes hy12864b and ocm4x8c,and matrix keyboard //with mcu to cpld connect //with music //with inner watchdog(atmel at89s52) //with rs232 connection,work both in b
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1558
    • 提供者:jiangxiaojian
  1. ANOlog_TMS320F28335

    6下载:
  2. 本装置采用单相桥式DC-AC逆变电路结构,以TI公司的浮点数字信号控制器TMS320F28335 DSP为控制电路核心,采用规则采样法和DSP片内ePWM模块功能实现SPWM波。最大功率点跟踪(MPPT)采用了恒压跟踪法(CVT法)来实现,并用软件锁相环进行系统的同频、同相控制,控制灵活简单。采用DSP片内12位A/D对各模拟信号进行采集检测,简化了系统设计和成本。本装置具有良好的数字显示功能,采用CPLD自行设计驱动的4.3’’彩色液晶TFT LCD非常直观地完成了输出信号波形、频谱特性的在线
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-24
    • 文件大小:5020186
    • 提供者:徐徐
  1. cpld_dsp_ld1_ld8

    0下载:
  2. dsp控制lcd的例子 共享给大家 希望有用到的地方-examples of dsp control lcd
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:158560
    • 提供者:richie li
  1. cpld-tft

    0下载:
  2. cpld 驱动 tft lcd 显示,达到显示效果-the cpld drive tft lcd display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:742760
    • 提供者:李林
  1. LCD128

    0下载:
  2. cpld驱动LCD12864液晶显示,显示字符,汉字-cpld LCD
  3. 所属分类:软件工程

    • 发布日期:2014-02-27
    • 文件大小:6125712
    • 提供者:悦仁
  1. LCD

    0下载:
  2. DSP5509通过cpld扩展IO口实现对带中文字库的LCD液晶屏进行控制显示。-DSP5509 by cpld extended IO port control display on the LCD screen with a Chinese font.
  3. 所属分类:DSP program

    • 发布日期:2017-11-23
    • 文件大小:218007
    • 提供者:赵云
  1. LCD

    0下载:
  2. 该系统主要是作为一个完整系统的开发子模块。他是基于CPLD 的扩展应用部分-The system is mainly used for data acquisition, DSP 2812 he was a high-precision processing system, worth a visit
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:270193
    • 提供者:KTY
« 1 23 4 »
搜珍网 www.dssz.com